Docsity
Docsity

Prepare for your exams
Prepare for your exams

Study with the several resources on Docsity


Earn points to download
Earn points to download

Earn points by helping other students or get them with a premium plan


Guidelines and tips
Guidelines and tips

Understanding Wafer Exposure Systems in Photolithography: Printers - Prof. Aurangzeb Khan, Study notes of Electrical and Electronics Engineering

An overview of wafer exposure systems in photolithography, focusing on contact, proximity, and projection printers. It discusses the historical development, basic concepts, and capabilities of these systems, as well as the role of light sources and photomasks. The document also covers the concepts of spatial coherence, critical modulation transfer function (cmtf), and resolution enhancement techniques.

Typology: Study notes

Pre 2010

Uploaded on 08/18/2009

koofers-user-h27-1
koofers-user-h27-1 🇺🇸

10 documents

1 / 33

Toggle sidebar

Related documents


Partial preview of the text

Download Understanding Wafer Exposure Systems in Photolithography: Printers - Prof. Aurangzeb Khan and more Study notes Electrical and Electronics Engineering in PDF only on Docsity! 1 Chapter-5 Lithography 2 Silicon Wafer Manufacture Packaging Epitaxial Growth Photo- lithography Etching Diffusion (Ion Implantation) Metalization Fabrication Processes for VLSI Devices Chip Fabrication Processes oxidation 3 Lithography • A light sensitive photoresist is spun onto the wafer forming a thin layer on the surface. The resist is then selectively exposed by shining light through a mask which contains the pattern information for the particular being fabricated. The resist is then developed which completes the pattern transfer from the mask to the wafer. Lithography comes from two Greek words, “lithos” which means stone and graphein which means write. “ writing a pattern on stone” 4 Lithography • Lithography is the most complicated, expensive, and critical process of modern IC manufacturing. • Lithography transforms complex circuit diagrams into pattern which are define on the wafer in a succession of exposure and processing steps to form a number of superimposed layers of insulator, conductor, and semiconductors materials. • Typically 8-25 lithography steps and several hundred processing steps between exposure are required to fabricate a packed IC. • The minimum feature size i. e., the minimum line width or line to line separation that can be printed on the surface, control the number of circuits that can be placed on the chip and has a direct impact on circuit speed. The evolution of IC is therefore closely linked to the evolution of lithographic tools. 5 review 6 Basic lithography process flow- chapter-5 7 Photolithography: Positive and Negative photo resist 8 Photoresist Coating 17 Ten Basic Steps of Photolithography 1. Surface Preparation 2. Photoresist Application 3. Soft Bake 4. Align & Expose* 5. Develop 6. Hard Bake 7. Inspection 8. Etch 9. Resist Strip 10. Final Inspection * Some processes may include a Post-exposure Bake Introduction to the Lithography Process 19 Lithography Overview • While the lithography concept is very simple, the actual implementation is very complex, because of the following demands placed on this process: • Resolution - demand for smaller device structures • Exposure field - chip size and need to expose at least one full chip (8” wafer) • Placement accuracy - alignment with respect to the existing pattern • Throughput - manufacturing cost • Reduction of defects density - yield loss 0.7X in linear dimension every 3 years. • Placement accuracy ≈ 1/3 of feature size. • ≈ 35% of total wafer manufacturing costs for lithography. • Note the ???. This represents the single biggest uncertainty about the future of the roadmap. 20 Light Sources • Decreasing feature sizes require the use of shorter λ. • Traditionally Hg vapor lamps have been used which generate many spectral lines from a high intensity • plasma inside a glass lamp. • (Electrons are excited to higher energy levels by collisions in the plasma. Photons are emitted when the energy is released.) • g line - λ = 436 nm • i line -λ = 365 nm (used for 0.5 µm, 0.35 µm) 21 Light Sources: Laser Sources • The most powerful and commonly used laser sources for deep UV photolithography are the excimer lasers. • Kr +NF3 → KrF→ photon emission Energy KrF - λ = 248 nm (used for 0.25 mm) ArF - λ = 193 nm • Issues include finding suitable resists and transparent optical components at these wavelengths. The combination of the high power and deep UV lines makes ArF and KrF attractive sources for advance optical lithography 22 23 Historical Development and Basic Concepts • Patterning process consists of mask design, mask • fabrication and wafer printing. • It is convenient to divide the wafer printing process into three parts A. Light source - Shorter wavelength photons B. Wafer exposure system. C. Resist. 24 Wafer Exposure Systems Contact printing is capable of high resolution but has unacceptable defect densities. Inexpensive, diffraction effects are minimize. • Proximity printing cannot easily print features below a few µm (except for x-ray systems). Poor resolution due to diffraction effects, required 1 X mask. • Projection printing provides high resolution and low defect densities and \ dominates today. • Typical projection systems use reduction optics (2X - 5X), step and repeat or step and scan mechanical systems, print ∼ 50 wafers/hour and cost $5 - 10M. 25 Contact Printer Proximity Printer Projection Printer Exposure Wafer Exposure Systems 26 27 electronic interface computer Stepper E-Beam Lithography Wafer Exposure Systems 28 Wafer Exposure Systems 2.0 um | 2.0 nm 1 oum SubWavelength 06 um __ 306 nm se 248 nm 025 um 199 nm . 157m 2.18} ‘= Lithography Wavelength on] | Shicon Feature Size 0.05 jum: 1980) 1985 1990) 1995) 2000 2008 "2008 Suan Tt eure |. The subwevelegth cra: paint 2 a one-ine’ line with a thr. -inch brush. Feature size 4 Mead Wavelength 1930 as7am Daca Going eras 13nm (EUVL) intel ics ics The Technology Treadmill 03 Why Are Mask Costs Increasing So Significantly? Transistor = Mask Complexity Count (Number of Rectangles) 10,000,004 - x= Pentium®) 496™ OX Pentium® It 2 * eS r= — 9 ° ry 4 g Gy [4 RMR MM ee ale = Cs Estimated EUV & Optical Mask Costs for 45 nm An approach to improve resolution UV Light Goat: Improve resolution and process latitude for photomask fabrication using laser pattern generators . iC Method. Line edge optimization “S Photomask of exposure image and resist f development response mf = Exposed Resist Results: Sub 0.30 um >< Patten resist features on photomask . substrates ilicon Substrate 45 Pattern Generation using CAD tools • In a typical CAD system pattern is designed with a light pen on a cathode ray tube. The output of the CAD system is usually in the form of a binary data. • The data are first translated into machine language and then transmitted to an optical, electron beam, or laser system and finally design shapes on the mask. A laser pattern generation system 46 Review 47 Optics - Basics and Diffraction • Ray tracing (assuming light travels in straight lines) • works well as long as the dimensions are large compared to λ i. e. particle nature of the light. • At smaller dimensions, diffraction effects dominate i.e., wave nature of the light. • Dimensions on the mask are comparable to the wavelength of the light. If the aperture is on the order of λ, the light spreads out after passing through the aperture. (The smaller the aperture, the more it spreads out.) The light that passes through the aperture (mask) carries with it the information on the size and shape of that aperture (device pattern). In order to understand the capabilities of modern wafer exposure systems we will need to review some basic concepts about light and optical system. 48 The light diffracted to wider angels carries the information about the finer details of the Aperture (device pattern), which are lost first when lens of finite size is used 57 This depth of focus is on the same order as the resist layer thickness itself. 58 Modulation Transfer Function (MTF) • MTF is a measure of the optical contrast in the aerial image by the exposure system. The higher the MTF the better the optical contrast. MTF of an image can be defined as • MTF increases with decreasing wavelength. • For large features size MTF is unity. As the features size decreases diffraction effects cause the MTF degrade to finally reached zero when the features are so closely spaced that there is no remaining contrast in the image.       + − = minmax minmax II IIMTF Partially dark 59 Spatial Coherence • A useful definition of the spatial coherence of practical light sources for lithography is simply • S= light source diameter • condensed lens diameter • Practical light sources are not point sources. Therefore, the light striking the mask will not be plane waves. • Typically, S ~ 0.5 to 0.7 in modern systems. 60 Contact and Proximity Systems ( Fresnel Diffraction) • Contact printing systems operate in the near field or Fresnel diffraction regime. • There is always some gap between the mask and resist. • The aerial image can be constructed by imagining point sources within the aperture, each radiating spherical waves (Huygens wavelets). • Interference effects and diffraction result in “ringing” and spreading outside the aperture. • Fresnel diffraction applies when • Within this range, the minimum resolvable feature size is Wmin ≈√kλg • Typical value of k is close to 1 and deepens on resistor process. Example: if g = 20 µm and an g-line light (436nm) source is used, Wmin≈ 3 µm. This is much larger then the dimension used in modern VLSI chips. However, for application in which features size are compatible with them, proximity printers are an economical solution. 61 Summary of wafer printing systems • In the contact printing system , a very high resolution image is produced i. e., minimum diffraction effect. • In a proximity printing system, the resolution degrade because of near field Fresnel diffraction effects. • In the projection printing system , diffraction effects are minimized by placing a lens between mask and the wafer. And focus the aperture on the wafer. • It is clear from the figure that the resolution of the proximity system is inferior to both of the other systems. This is why projection systems are used in manufacturing today. 62 Discuss implications of following calculation for the technologist that must manufacture transistors with 0.5 µm features. R RExample R 63 The liftoff process for pattern transfer. 64 65 Photoresist Composition • The most commonly used positive resist consist of diazonaphtoquinone (DQ), which is the photoactive compound (PAC), and novolac (N), a matrix material called resin. Upon absorption of UV light, the PAC undergoes a structural transformation which is followed by reaction with water to form a base soluble carboxylic acid, which is readily soluble in basic developer (KOH, NAOH, TMAH etc.) The base resin is novolac a long chain polymer consisting of hydrocarbon rings with 2 methyl groups and 1 OH group attached. 66 67 68 Basic Properties of Resists: Contrast Curves • Two basic parameters are used to describe resist properties, contrast and the critical modulation transfer function or CMTF. • Contrast is a measure of the ability of a resist to distinguish between light and dark portion of the mask defined as , )/(log 1 10 of DD =γ The higher the contrast, the sharper the line edge. Resist with high contrast Can actually “sharpen up” a poor aerial image. 77 78 • It is known that in the sub 0.5µm range, a perfect image on the mask can, from diffraction effect, result in a distorted pattern in the resist. • OPC mask attempt to reverse the situation by having a distorted image on the mask that is design to, produce a perfect image on the resist. A computer is used to analyze exposure process conditions. • However, the use of OPC are so difficult that they are unlikely to be implemented on a large scale in the near future. Resolution enhancement techniques: Mask engineering (1) Optical proximity corrections (OPC) 79 80 Resolution enhancement techniques: OPC 81 • Optical Proximity Correction (OPC) can be used to compensate somewhat for diffraction effects. • Sharp features are lost because higher spatial frequencies are lost due to diffraction. These effects can be calculated and can be compensated for. This improves the resolution by decreasing k1. Photolithography- OPC 82 Resolution enhancement techniques: (2) Optical Phase Shifting • Diffraction problem could be more pronounced as two mask patterns get closer together • OPS uses phase shifting to “sharpen” printed images. • These techniques can allow existing exposure tools to be used in manufacturing at least one more technology generation. Unresolved pattern 83 (2) 84 When the angle of illumination and the angle of diffraction are well matched, the amount of light diffracted can be enhanced and the contrast of the image improved. (3) Off-axis Illumination technique 85 Photolithography-λ 86 Photolithography-NA • At the same time that exposure wavelengths have been reduced, improvements in lens design has led to improvements in the NA of exposure systems lens, see figure . In the mid eighties an NA value of approximately 0.4 was typical, today 248nm exposure systems are available with an NA greater than 0.8. The physical limit to NA for exposure systems using air as a medium between the lens and the wafer is 1, the practical limit is somewhere around 0.9, with recent reports suggesting that an NA as high as 0.93 may be possible for ArF systems in the future . 87 • The third element in the Rayleigh equation is k1. k1 is a complex factor of several variables in the photolithography process such as the quality of the photoresist and the use of resolution enhancement techniques such as phase shift masks, off-axis illumination (OAI) and optical proximity correction (OPC). While exposure wavelengths have been falling and NA rising, k1 has been falling as well, see figure . The practical lower limit for k1 is thought to be about 0.25. Photolithography- k1 88 • From the discussion to this point, the resolution limit for 193nm exposure systems may be calculated using the Rayleigh equation with, l = 193nm, NA = 0.93 and k1 = 0.25 or • From the above a highly optimized ArF exposure system has an absolute maximum resolution of 52nm, sufficient for 65nm linewidths forecast in 2005, but not capable of meeting the 45nm linewidths forecast in 2007. Photolithography-Immersion Litho R High-k + Metal Gate Transistor Tutorial +— Gate electrode (polysilicon) Depleted region ——~ =. Gate dielectric (SiO,) +— Source-drains (doped Si) Silicon substrate During normal operation a thin region depleted of conducting carriers is formed at the bottom of polysilicon gates, resulting in an undesired increase in the effective thickness of the gate dielectric High-k + Metal Gate Transistor Tutorial +—— Gate electrode (polysilicon) Depleted region ——* + Gate dielectric (SiO) — Source-drains (doped Si) Silicon substrate The thicker effective gate dielectric results in degraded “on” current and increased “off” current High-k + Metal Gate Transistor Tutorial *— Low resistance layer Gate electrode (metal) + Gate dielectric (SiO,) +— Source-drains (doped S Converting the polysilicon gate electrode to metal eliminates the depleted region and increases the gate field effect resulting in increased “on” current and decreased “off current High-k + Metal Gate Transistor Tutorial +— Low resistance layer +—— Gate electrode (metal) +. Gate dielectric (high-k) + Source-drains (doped Si) Silicon substrate Converting SiO, gate dielectric to high-k allows thickening the dielectric layer while also increasing the gate field effect resulting in increased “on” current, decreased “off’ current and significantly decreased gate leakage as 102 facts about 45nm from Intel You could fit more than 2000 45nm transistor gates across the width of a human hair. You could fit more than 30 million 45nm transistors onto the head of a pin, which measures ~1.5 million nm in dia. A 45nm transistor can switch on and off approximately 300 billion times a second. A beam of light travels 108 • NA is determined by the acceptance angle of the lens and the index of refraction of the medium surrounding the lens. The physical limit for an air based system is clear, but what if a medium with a higher index of refraction is substituted for air? Microscopy has for years used oil between the lens and the sample being viewed for resolution enhancement and it is somewhat surprising that the semiconductor industry has taken this long to seriously consider the merits of replacing air with an alternative. Photolithography-Immersion litho 117 Schematic of an electron beam lithography machine. Advantages: Generation of submicron Resist geometries Greater depth of focus Direct patterning on a Semiconductor without Using a mask. Currently EBL is the Technology of choice for Mask generation due to Its ability to accurately define small features. Disadvantage: Low throughput Next Generation Lithography: E- Beam oDiffraction is not a limitation on resolution (λ < 1 Å for 10-50 keV electrons) oResolution depends on electron scattering and beam optics the size of the beam, can reach ~ 5 nm oTwo modes of operation: oDirect writing with narrow beam oElectron projection lithography using a mask :EPL oIssues: oThroughput of direct writing is very low : research tool or low pattern density manufacturing oProjection stepper (EPL) is in development stage only (primarily by Nikon). oMask making is the biggest challenge for the projection method oBack-scattering and second electron result in proximity effect –reduce resolution with dense patterns there is also the proximity effect oOperates in high vacuum (10-6 –10-10 torr) –slow and expensive 119 • The advantages of electron lithography are: (1) Generation of micron and submicron resist geometries (2) Highly automated and precisely controlled operation (3) Greater depth of focus (4) Direct patterning without a mask Next Generation Lithography: E- Beam • The biggest disadvantage of electron lithography is its low throughput (approximately 5 wafers / hour at less than 0.1 µ resolution). Therefore, electron lithography is primarily used in the production of photomasks and in situations that require small number of custom circuits. 120 electronic interface computer Stepper E-Beam Lithography Wafer Exposure Systems 121 In raster scan system The beam scan sequentially over every possible location (pixel) on the mask and is Turned off where no exposure Is required. In a vector scan system The beam is directed only to the Requested pattern features and jumps from features to Features. 122 (a) Raster scan writing scheme. (b) Vector scan writing schemes. (c) Shapes of electron beam: round, variable, and cell projection. 123 Issue associated with EBL: Proximity effect • In EBL the resolution is not limited by diffraction • In EBL backscattering causes the electron beam to broaden and expose a large volume of resist then expected. • The proximity effect places a limit on the minimum spacing between pattern feature. 124 SCALPEL® (SCattering with Angular Limitation Projection Electron-beam Lithography) • EPL is e-baem with a mask for high-throughput • The aspect of SCALPEL which differentiates it from previous attempts at projection electron- beam lithography is the mask. This consists of a low atomic number membrane covered with a layer of a high atomic number material: the pattern is delineated in the latter. While the mask is almost completely electron-transparent at the energies used (100 keV), contrast is generated by utilizing the difference in electron scattering characteristics between the membrane and patterned materials. The membrane scatters electrons weakly and to small angles, while the pattern layer scatters them strongly and to high angles. • An aperture in the back-focal (pupil) plane of the projection optics blocks the strongly scattered electrons, forming a high contrast aerial image at the wafer plane 125 Possible solution of low throughput: Scattering with angular limitation projection electron beam lithography (SCALPEL) This approach has not yet been in a full scale manufacturing environment, but It appears to have significant promise for future lithography needs. 126 • Uses very short 13.4 nm light • All reflective optics (at this wavelength all materials absorb!) • Uses reduction optics (4 X) • Step and scan printing • Optical tricks seen before all apply: off axis illumination (OAI), phase shift masks and OPC • Vacuum operation • Laser plasma source • Very expensive system Next Generation Lithography : EUV 127 Challenges: EUV is strongly absorbed In all materials. Lithography process must be performed in vacuum Mask blank must also be multilayer coated to minimize Its reflection. An extreme ultraviolet (EUV) lithography system.
Docsity logo



Copyright © 2024 Ladybird Srl - Via Leonardo da Vinci 16, 10126, Torino, Italy - VAT 10816460017 - All rights reserved